logo

Crowdly

Задано модуль: module counter #( parameter WIDTH = 5 ) ( input ...

✅ The verified answer to this question is available below. Our community-reviewed solutions help you understand the material better.

Задано модуль:

module counter #(parameter WIDTH = 5) (

input clk,

input reset,

input enable,

output reg [WIDTH-1:0] count

);

always @(posedge clk or posedge reset) begin

if (reset) count <= 0;

else if (enable) count <= count + 1;

end

endmodule

 

Умова:

Сигнал enable активується в тактах 2,4,6,8.

Визначити:

Стан count після 10 тактів.

Записати відповідь у бітовому форматі (c3c2c1c0).

Невизначений стан записувати як 'x'.

More questions like this

Want instant access to all verified answers on vns.lpnu.ua?

Get Unlimited Answers To Exam Questions - Install Crowdly Extension Now!