Looking for Основи проектування програмно-апаратних засобів test answers and solutions? Browse our comprehensive collection of verified answers for Основи проектування програмно-апаратних засобів at vns.lpnu.ua.
Get instant access to accurate answers and detailed explanations for your course questions. Our community-driven platform helps students succeed!
Сигнал enable активується в тактах 2,4,6,8.
Визначити:
Стан count після 10 тактів.Записати відповідь у бітовому форматі (c3c2c1c0).Невизначений стан записувати як 'x'.На вхід bit_in подається послідовність бітів: 0, 1, 0, 0, 1, 1, 0, 0, 1, 1.
Визначити:
Номери тактів (через кому), при яких sequence_found == 1
Є наступний Verilog-модуль:
module shifter #( parameter WIDTH = 9 )( input [$clog2(WIDTH)-1:0] a, input [$clog2(WIDTH)-1:0] b, output reg [WIDTH-1:0] c, input clk ); always @(сlk) begin assign c <= a << b; endendmoduleНехай:
Визначити:
Стан виходу c після 3 циклів осцилятора. Записати відповідь у бітовому форматі (c3c2c1c0). Невизначений стан записувати як 'x'.